vhdl

    14गर्मी

    6उत्तर

    पर मैं मुसीबत की तरह b(0 to 7) <= a(7 downto 0) कुछ कर रही है, इसलिए मैं एक आदेश त्रुटि है। library ieee; use ieee.std_logic_1164.all; entity reverser is port( a: in std_logic_vector(7 d

    5गर्मी

    2उत्तर

    द्वारा एक std_logic_vector मैं इस तरह एक std_logic_vector है। constant CLK_SIG:integer := 0; constant EN_SIG:integer := 1; constant FOO_SIG:integer := 2; constant BAR_SIG:integer := 3; constant BA

    5गर्मी

    2उत्तर

    मैं एक Verilog प्रोग्राम vhdl में अनुवाद करने की कोशिश कर रहा हूं और एक बयान में ठोकर खाई है जहां verilog प्रोग्राम में एक प्रश्न चिह्न (?) ऑपरेटर का उपयोग किया जाता है। निम्नलिखित Verilog कोड है; 1 m

    10गर्मी

    4उत्तर

    क्या यह VHDL में किसी प्रक्रिया के लिए दो या अधिक अनुक्रमिक रन संभव है? क्या होगा यदि कोई अन्य घटना होती है (संवेदनशीलता सिग्नल सूची पर) जबकि प्रक्रिया के अनुक्रमिक निष्पादन को पूरा नहीं किया जाता है?

    5गर्मी

    2उत्तर

    में जेनेरिक पैकेज क्या एक सामान्य पैकेज होना संभव है? इसका मतलब है कि जब मैं इकाई को तुरंत चालू करता हूं तो मैं कुछ सामान्य प्रदान करता हूं और पैकेज उस पर निर्भर करेगा। मैं एक ब्लॉक जो मैं कई बार उपयो

    6गर्मी

    1उत्तर

    मैं 4 अंक के साथ एक 7 खंड प्रदर्शन ड्राइव करने के लिए अस्थायी बहुसंकेतन लागू करने के लिए कोशिश कर रहा हूँ: इसलिए यदि आप चार प्रदर्शित करना चाहते हैं, इस उपकरण 7 डेटा पैर और 4 एनोड है अलग-अलग अंक, आपको

    5गर्मी

    3उत्तर

    के लिए अतिप्रवाह परिभाषित किया गया है यदि मेरे पास है जिसमें 2**MAX - 1 मान है, तो VHDL (87 | 93 | 200X) मानकों को परिभाषित करें कि जब मैं इसे एक से बढ़ाता हूं तो क्या होता है? (या, इसी तरह, जब मैं यह

    5गर्मी

    1उत्तर

    क्या आप मुझे बता सकते हैं कि अगर वीएचडीएल में प्राथमिकता एन्कोडर लिखने का बेहतर तरीका है तो बस-और कथन का उपयोग करने के बजाय? मेरा मतलब है, मुझे यह कोड मिला है और यह काम करता है, लेकिन क्या इसे छोटा लि

    5गर्मी

    3उत्तर

    मैंने एक synthesis उपकरण बनाने का विचार किया जो आरटीएल के विकास में तेजी लाने के लिए समर्पित हार्डवेयर पर आधारित है। क्या कोई एचडब्ल्यू आधारित प्लेटफॉर्म है जो आरटीएल संश्लेषण करता है? एक approximatly

    5गर्मी

    2उत्तर

    क्या एसटीडी_LOGIC_1164 या STD_NUMERIC से प्री-डिफ़ाइंड प्रकारों का उपयोग करने का कोई तरीका है 0 से 2^32-1 तक के पूर्णांक का प्रतिनिधित्व करने के लिए? (डिफ़ॉल्ट पूर्णांक प्रकार पर विचार करने से -2^31-1